Introduction to UVM Subscribers

Introduction to UVM Subscribers
Introduction to UVM Subscribers
A UVM subscriber, also known as a scoreboard, collects and compares output data. It's essential for checking the correctness of data processed by the UUT (Unit Under Test).
Subscriber Basics
Subscriber Basics
Subscribers extend from `uvm_subscriber` base class. They use `write` method to receive transactions and usually include comparison algorithms to validate the DUT's behavior.
Implementing Write Method
Implementing Write Method
The `write` method is overridden to handle incoming transactions. It's called automatically when data is sent to the analysis port connected to the subscriber.
Data Comparison Techniques
Data Comparison Techniques
Typically, subscribers compare expected and actual data using internal algorithms, potentially leveraging prediction based on input stimuli or past output data.
Analysis Port Connection
Analysis Port Connection
An analysis port is used to connect the subscriber to other UVM components. It allows the subscriber to receive data without affecting the component's primary operation.
Configuring UVM Subscriber
Configuring UVM Subscriber
Subscribers can be parameterized to support different data types. Configuration is done in the build phase, where the subscriber is instantiated and connected.
Advanced Scoreboarding
Advanced Scoreboarding
For complex DUTs, subscribers may implement FIFOs, queues, or databases to store expected results and handle data reordering or temporal aspects.
Learn.xyz Mascot
What does a UVM subscriber also known as?
UVM configurator
UVM scoreboard
UVM writer